題主問的是euv難度為什麼這麼大。其實回答光刻機為什麼這麼難也是一樣。精度越高,難度指數增加也是正常。當年尼康號稱搞出小而美的光刻機,可是已經被阿斯麥幹趴了,永遠定格在90nm,23nm是實驗水平,真假已經沒意義了。美國沒理由對尼康禁止技術和相關零件吧,說到底,在一定支撐下,還得科技樹點對。

第一 貴

一臺光刻機從運抵,安裝,調試,試運行,運行,保守時間是一年。還有後期的維護,調試。這中間,高水平的工程師,技師的人才儲備,不是呼啦一下子就有的。這個行業,五年算入門。

阿斯麥研究新一代euv也是靠臺積電Intel三星注資。自己一個人做,真的沒底氣。失敗了,可能就破產了。投入太大了。

第二 基礎科學及工程數據儲備

除了老生常談的蔡司的lens,美國提供的control system,還有就是這些超高精度設備都會遇到的一個問題,穩定性。

這裡面就涉及到機械繫統包括不侷限各種gantry / index 運動。裡面摩擦學,共振,震動,材料應力改變,彈性力學,等等吧。很多都是工程經驗,是基於數以百計高水平工程師以年計的測試,並不是科學理論寫寫公式指導指導就能有的數據。

第三

有國家背書,假設人才和錢都有了,那麼開始搞了,但是你肯定不會一下子搞到14nm。按照我的推測,美帝全面禁止一切技術和設備,目前按照中國大陸能力,能夠穩定生產90nm,已經很不容易了。這也是軍用一般的標準。而民用,你看看HUAWEI,用中芯國際的14nm都瑟瑟發抖就明白。商用,沒有競爭力的產品,即使資金傾斜也會在滾雪球代差裡面被拖死。

第四

我相信中國人的才智,但是彎道超車,摘取工業桂冠難度,總是大的。這東西,不見得比航發簡單。

第五

拜託各位別拿這個和原子彈比難度了。

第六

都是憑藉記憶答題 細節處有偏差 見諒。


全球科技集成化的產物,美國都不敢說自己的技術能完全製作出光刻機。


腦子一想就成 眼睛一看就會 雙手一做就廢


這是一種多種科學技術高度複合的產品領域,包含了高分子物理與化學、表面物理與化學、數學、光學、流體力學、精密儀器、機械、自動化、軟體、圖像識別領域頂尖技術的產物。

它利用極紫光在硅材料上進行「雕刻」,而極紫光是13.5nm波長的光線,而且因為幾乎所有材料對極紫光都有極強的吸收性,所以還不能直接雕刻,而需要依靠「反光鏡」進行,而操作的「藝術品」大小是頭髮絲的萬分之一(如果是7nm的基本就是這個級別),同時因為要達到這樣的雕刻精度,在雕刻的過程中晶圓需要被快速移動,每次移動10釐米,可是誤差必須被控制在納米級別。

這種誤差級別相當於眨眼之間你要把一杯水從紐約在一眨眼時間投擲到洛杉磯而且這杯水著陸的時候是「滴水不灑」的。然後每個晶元製作大概是2800-3100個步驟工序,每一步都要這樣投擲一次水杯,每一步都會有一定的失敗率,如果全程下來成功率達到95%,那就意味著每一步失敗率是不超過十萬分之一。


半導體晶元生產主要分為 IC 設計、IC 製造、IC 封測三大環節

【1】IC 設計主要根據晶元的設計目的進行邏輯設計和規則制定,並根據設計圖製作掩模以供後續光刻步驟使用。

【2】IC 製造實現晶元電路圖從掩模上轉移至矽片上,並實現預定的晶元功能,包括光刻、刻蝕、離子注入、薄膜沉積、化學機械研磨等步驟。

【3】IC 封測完成對晶元的封裝和性能、功能測試,是產品交付前的最後工序.

IC 晶元製造核心工藝主要設備全景圖

光刻是半導體晶元生產流程中最複雜、最關鍵的工藝步驟,耗時長、成本高。半導體晶元生產的難點和關鍵點在於將電路圖從掩模上轉移至矽片上,這一過程通過光刻來實現,光刻的工藝水平直接決定晶元的製程水平和性能水平。晶元在生產中需要進行 20-30 次的光刻,耗時佔到 IC 生產環節的 50%左右,佔晶元生產成本的 1/3。

光刻機是晶元製造中光刻環節的核心設備,技術含量、價值含量極高。光刻機涉及系統集成精密光學、精密運動、精密物料傳輸、高精度微環境控制等多項先進技術,是所有半導體製造設備中技術含量最高的設備,因此也具備極高的單臺價值量,目前世界上最先進的 ASML EUV光刻機單價達到近一億歐元,可滿足 7nm 製程晶元的生產。

根據所使用的光源的改進,光刻機經歷了 5 代產品的發展,每次光源的改進都顯著提升了光刻機所能實現的最小工藝節點。此外雙工作臺、沉浸式光刻等新型光刻技術的創新與發展也在不斷提升光刻機的工藝製程水平,以及生產的效率和良率,

1-4 代光刻機使用的光源都屬於深紫外光,第五代 EUV 光刻機使用的則是波長 13.5nm 的極紫外光。早在上世紀九十年代,極紫外光刻機的概念就已經被提出,ASML 也從 1999 年開始 EUV 光刻機的研發工作,原計劃在 2004 年推出產品。但直到 2010 年 ASML 才研發出第一臺 EUV 原型機,2016 年才實現下遊客戶的供貨,比預計時間晚了十幾年。

EUV 光刻機面市時間表的不斷延後主要有兩大方面的原因:

【1】一是所需的光源功率遲遲無法達到 250 瓦的工作功率需求;

【2】二是光學透鏡、反射鏡系統對於光學精度的要求極高,生產難度極大。這兩大原因使得 ASML及其合作夥伴難以支撐龐大的研發費用。

2012 年 ASML 黨的三大客戶三星、臺積電、英特爾共同向 ASML 投資 52.59 億歐元,用於支持 EUV 光刻機的研發。此後 ASML 收購了全球領先的準分子激光器供應商 Cymer,並以 10 億歐元現金入股光學系統供應商卡爾蔡司,加速EUV 光源和光學系統的研發進程,這兩次併購也是 EUV 光刻機能研發成功的重要原因。

ASML 的 TWINSCAN NXE:3400B 型號 EUV 設備圖

上海微電子成立於 2002 年,致力於半導體裝備、泛半導體裝備、高端智能裝備的研發製造,產品包括前道光刻機、後道封 裝光刻機、平板顯示光刻機、檢測設備、搬運設備等。公司最先進的 IC 前道光刻機已經達到 90nm 製程,並據傳 65nm 製程設備正在進行整機考覈。光刻機技術在 90 納米是一個技術臺階,邁過 90 納米很容易做到 65 納米,對 65 納米的進行升級就可以做 到 45 納米。

上海微電子的光刻機產品有望在未來幾年實現 45nm 製程產品的生產。 公司的 IC 後道封裝光刻機可以滿足各類先進封裝工藝的需求,已經實現批量供貨,並出口 到海外市場,國內市場佔有率達到 80%,全球市場佔有率 40%;用於 LED 製造的投影光刻機的市場佔有率也達到 20%。 作為國內光刻機設備領域的領航者,上海微電子承擔著國產光刻機設備的希望,若能實現光刻機設備的國產化,中國大力發展的半導體產業必將邁上一個新臺階.


荷蘭的這個光刻機集百家之長,基本屬於集合了整個歐洲以及美國的所有晶元技術才弄出來的,這個是真的沒辦法,要遠比原子彈氫彈,衛星,導彈難造。


推薦閱讀:
相關文章