系统环境:centos6.9(X64)

安装软体

Synopsys

Design Complier

Formality

VCS PT

Cadence

NCverilog

Virtuoso

Encounter

#synopsys
# User specific aliases and functions
#EDA Env config
export SNPSLMD_LICENSE_FILE=/opt/synopsys/license/Synopsys.dat
export LM_LICENSE_FILE=/opt/synopsys/license/Synopsys.dat
export DC_HOME=/opt/synopsys2016/syn2016
export FM_HOME=/opt/formality/fm
export PT_HOME=/opt/synopsyspt
#vcs
export VCS_HOME=/opt/synopsys/I-2014.03
export PATH=$VCS_HOME/amd64/bin:$PATH

#setup design complier
export PATH=$DC_HOME/amd64/syn/bin:$PATH

#DVE config
export PATH=$VCS_HOME/gui/dve/bin:$PATH
export VCS_ARCH_OVERRIDE=linux
export VCS_TARGET_ARCH=amd64
export DISPLAY=unix:0
#primetime config
export PATH=$PT_HOME/bin:$PATH

#Formality
export PATH=$FM_HOME/amd64/fm/bin:$PATH
alias dc="dc_shell-t"
alias dv="design_vision"
alias pt="primetime"
alias dve="dve -full64"
alias fm="formality"
alias verdi="veridi"

以上是synonsys的环境变数,个人习惯保存/home/username目录下

关于cadence

#Virtuoso

export CADHOME=/opt/cadence

export LANG=C

export CDS_Netlisting_Mode=Analog

export CDS_LOAD_ENV=CWD

export MOZILLA_HOME=/usr/bin/firefox

export CDS=$CADHOME/IC617

export CDSDIR=$CDS
export CDSHOME=$CDS
export CADENCE_DIR=$CDS
export CDS_INST_DIR=$CDS
export CDS_ROOT=$CDS
export CDSROOT=$CDS

export CDS_LIC_FILE=$CDS/share/license/license.dat
export CDS_LIC_ONLY=1

export CDS_AUTO_64BIT=ALL
export PATH=$PATH:$CDSDIR/tools/dfII/bin:$CDSDIR/tools/plot/bin
export CDS_ENABLE_VMS=1
export MMSIMHOME=$CADHOME/MMSIM151
export PATH=$PATH:$MMSIMHOME/bin:$MMSIMHOME/tools/relxpert/bin
export QRC_HOME=$CADHOME/EXT151
export PATH=$PATH:$QRC_HOME/bin

export QRC_MOS_LW_PRECISION=Y
export TSMC_ASU_DFM_PATH=/opt/PDKs/tsmc/CRNxxG/Assura/lvs_rcx/DFM
export K2_HOME=$CADHOME/PVS151/tools/K2
export K2_VIEWER_HOME=$K2_HOME/Viewer
export K2_MASKCOMPOSE_HOME=$K2_HOME/MaskCompose
export K2_MC_DATA=$HOME/Projects
export LM_LICENSE_FILE=$CDS_LIC_FILE
export PATH=$PATH:$CADHOME/PVS151/bin:$CADHOME/PVS151/tools/bin:$K2_MASKCOMPOSE_HOME/bin
export XKEYSYMDB=$CDSDIR/tools/plot/etc/XKeysymDB

export PATH=$PATH:$CADHOME/GENUS152/tools/bin

export PATH=$PATH:$CADHOME/JLS151/bin

export PATH=$PATH:$CADHOME/INNOVUS152/bin

export PATH=$PATH:$CADHOME/CTOS142/bin

export PATH=$PATH:$CADHOME/CONFRML152/bin

export PATH=$PATH:$CADHOME/SSV152/tools/bin

export PATH=$PATH:$CADHOME/INCISIVE151/bin:$CADHOME/INCISIVE151/tools/bin::$CADHOME/INCISIVE151/tools/vtools/vfault/bin

export LD_LIBRARY_PATH=$CADHOME/INCISIVE151/tools/lib/64bit:$CADHOME/INCISIVE151/tools/lib

AMS_ENABLE_NOISE=YES

export uvm_home=$CADHOME/INCISIVE151/tools/uvm
export ml_uvm=$CADHOME/INCISIVE151/tools/uvm/uvm_lib/uvm_ml/sc/ml_uvm
export ml_seq_dir=$CADHOME/INCISIVE151/specman/src

export SOCV_KIT_HOME=$CADHOME/INCISIVE151/kits/VerificationKit
. $SOCV_KIT_HOME/env.sh

export PATH=$PATH:$CADHOME/ET151/bin

export CDN_VIP_ROOT=$CADHOME/VIPCAT113
export CADENCE_VIP_LIC_ORDER=newrev:soc:portfolio:alacarte
export ABVIP_ISNT_DIR=$CDN_VIP_ROOT/tools/abvip

export SPECMAN_PATH=$PATH:$CDN_VIP_ROOT/utils:$CDN_VIP_ROOT/packages:$CDN_VIP_ROOT/vips/enet/vr_enet
export DENALI=$CDN_VIP_ROOT/tools/denali_64bit
export PATH=$PATH:$DENALI/bin

export PATH=$PATH:$CADHOME/MVS152/tools/bin
export CDK_DIR=/opt/PDKs/ncsu-cdk-1.6.0.beta
export MGC_HOME=/opt/mentor
export CALIBRE_HOME=$MGC_HOME/Calibre2015/aoi_cal_2015.2_36.27
export MGLS_LICENSE_FILE=$MGC_HOME/license/license.dat
export PATH=$PATH:$CALIBRE_HOME/bin
export MGC_LIB_PATH=$CALIBRE_HOME/lib
export MGC_LICENSE_FILE=$MGC_HOME/license/license.dat

export MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1
export MGC_CALIBRE_SCHEMATIC_SERVER=zhouyu
export MGC_CALIBRE_LAYOUT_SERVER=zhouyu
export TSMC_CAL_DFM_PATH=/opt/PDKs/tsmc/CRNxxG/Calibre/lvs/DFM
export MGC_PDF_READER=evince
unset OA_HOME
export OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x
export MGC_CALIBRE_LAYOUT_SERVER=127.0.0.1:1989
#Encounter9.1
export PATH="$PATH:/opt/cadence/installscape/iscape/bin"
export PATH="$PATH:/opt/cadence/EDI91/bin"
export PATH="$PATH:/opt/cadence/EDI91/tools/bin"
export CDS_ROOT=/opt/cadence/EDI91
export LM_LICENSE_FILE=/opt/cadence/EDI91/share/license/ius82.dat
#NCverilog
export IUS_HOME=/opt/cadence/IUS92
export PATH=$IUS_HOME/tools.lnx86/bin:$PATH
export LM_LICENSE_FILE=$IUS_HOME/share/license/license.dat
clear

将以上环境变数放在 .bashrc中

注意:我的EDA软体都是放在/opt 目录下的,以上路径需要根据自己的实际情况添加,这此处仅供参考。

相应软体可在EETOP下载。

推荐阅读:

相关文章