-早期採用者實現運行速度加倍、結果質量改善10%並與IC Compiler II緊密相關

  加州山景城2019年4月9日 --

  重點:

  新思科技Design Compiler NXT採用創新且高效的優化引擎,提高運行速度並改善功耗和時序方面的設計實現質量

  支持先進工藝節點,包括通用庫以及與IC Compiler II保持RC提取的一致性,可在5nm等工藝範圍內實現緊密的相關一致性

  早期採用者享受到即插即用的部署便利以及生產力和設計實現質量大幅改善等優勢

  新思科技(Synopsys, Inc.,納斯達克股票市場代碼:SNPS)近日宣佈正式發佈Design Compiler系列RTL綜合產品的最新創新之作——Design CompilerNXT。早期採用者已經在其設計流程中採用了這項新技術,在提高運行速度的同時,與新思科技IC CompilerII佈局佈線系統緊密相關,縮短了達成設計收斂所需的時間。此外,在功耗和時序方面,設計實現結果質量(QoR)大幅提高,使他們能夠爲人工智能(AI)、5G和自動駕駛等市場開發出更具競爭力的產品。

  AMD物理設計方法高級研究員Rajit Seahra表示:“產品複雜性和性能的提高促使AMD建立起能夠支持高性能設計的可預測且高效的設計流程。爲實現這些目標,我們正在與新思科技合作開發新技術,從而準確預測RC和綜合過程中的時序,並幫助改善對佈局佈線結果的預測。使用新思科技Design Compiler NXT後,RC和時序與IC Compiler II間的相關一致性明顯增強,不僅運行速度更快,時序方面的QoR也大幅改善。我們已經開始部署Design Compiler NXT技術,建立起具有高度收斂性的設計流程,支持AMD以更快的速度將複雜設計推向市場。”

  Design Compiler NXT最新優化的功能包括功耗驅動的映射和結構化技術、時鐘數據同步優化技術(CCD),以及不影響QoR的分佈式綜合新方法。爲了在最先進的工藝節點上實現緊密的相關一致性和卓越的QoR,Design Compiler NXT除了採用一致的RC、網絡拓撲和密度建模外,還與IC Compiler II共享單元及設計庫和先進佈局技術。

  新思科技芯片設計事業部工程高級副總裁Shankar Krishnamoorthy表示:“我們對Design Compiler系列產品的持續投資再次帶來了突破性的創新綜合技術。用戶在其生產流程中無縫部署Design Compiler NXT,在很短的時間內不僅提高了運行速度,還改善了QoR和收斂性。”

  新思科技簡介

  新思科技(Nasdaq: SNPS)是致力於開發人們日常生活所需電子產品和軟件應用的創新企業的Silicon to Software合作伙伴。作爲全球第15大軟件公司,新思科技長期以來一直是電子設計自動化(EDA)和半導體IP領域的全球領導者,並不斷提高其在軟件安全和質量解決方案方面的領導地位。不論是開發先進半導體的片上系統設計師,還是撰寫對安全性和質量要求極高的應用程序的軟件開發人員,新思科技都能提供所需的解決方案,幫助他們交付創新、優質且安全的產品。垂詢詳情,請訪問。

  編輯聯繫人:

  James Watts

  Synopsys, Inc.

  電郵:

相关文章